• Giga@hdv-tech.com
  • Seirbheis air-loidhne 24H:
    • 7189078c
    • sns03
    • 6660e33e
    • youtube airson
    • instagram

    1000BASE-PX20++ EPON OLT SFP Transceiver ZL5432099-ICS

    Tuairisgeul goirid:

     SC BIDI SFP Transceiver Modh Singilte

     A’ gèilleadh ri SFP MSA agus SFF-8472

    Hot-pluggable

     Solar cumhachd singilte +3.3

     A’ gèilleadh ri Telcordia (Bellcore) GR-468-CORE

     Tar-chuir modh leantainneach 1490nm & modh burst 1310nm APD-TIA

     Ìre dàta àbhaisteach 1.25 Gbps, ruighinn as àirde 20km

     Cumail ri riatanas teicnigeach uidheamachd China Telecom EPON V2.1 1000BASE-PX20++


    Mion-fhiosrachadh toraidh

    Paramadairean

    Iarrtasan

    Bhideo

    Bathar Tags


    Notaichean:

    1. Tha TX Fault na neach-cruinneachaidh fosgailte / toradh drèanaidh, a bu chòir a tharraing suas le resistor 4.7K - 10KΩ air a ’bhòrd aoigheachd.Tarraing suas bholtaids eadar 2.0V agus VccT, R + 0.3V.Nuair a tha e àrd, tha toradh a’ nochdadh locht laser de sheòrsa air choreigin.Tha ìosal a’ comharrachadh obrachadh àbhaisteach.Anns an staid ìosal, thèid an toradh a tharraing gu <0.8V.
    2. Is e cuir a-steach a th’ ann an TX disable a thathas a ’cleachdadh gus toradh optigeach an inneal-sgaoilidh a dhùnadh.Tha e air a tharraing suas taobh a-staigh a’ mhodal le resistor 4.7–10 KΩ.Is e na stàitean aige:

    Ìosal (0 - 0.8V): Transmitter air adhart
    (> 0.8, <2.0V): Neo-mhìnichte
    Àrd (2.0 - 3.465V): Transmitter ciorramach
    Fosgailte: Transmitter ciorramach

    1. Mod-Def 0,1,2.Is iad seo na prìnichean mìneachadh modal.Bu chòir dhaibh a bhith air an tarraing suas le resistor 4.7K - 10KΩ air a’ bhòrd aoigheachd.Feumaidh an bholtadh tarraing-suas a bhith VccT no VccR.

    Tha Mod-Def 0 stèidhichte air a’ mhodal gus sealltainn gu bheil am modal an làthair
    Is e Mod-Def 1 an loidhne gleoc de eadar-aghaidh sreathach dà uèir airson ID sreathach
    Is e Mod-Def 2 an loidhne dàta de eadar-aghaidh sreathach dà uèir airson ID sreathach
    4. Tha LOS (Loss of Signal) na neach-cruinneachaidh fosgailte / toradh drain, a bu chòir a tharraing suas le resistor 4.7K - 10KΩ.Tarraing suas bholtaids eadar 2.0V agus VccT, R + 0.3V.Nuair a tha e àrd, tha an toradh seo a’ nochdadh gu bheil an cumhachd optigeach a fhuaireadh nas ìsle na cugallachd glacadair na cùise as miosa (mar a tha air a mhìneachadh leis an ìre cleachdaidh).Tha ìosal a’ comharrachadh obrachadh àbhaisteach.Anns an staid ìosal, thèid an toradh a tharraing gu <0.8V.

    1. Faodaidh VeeR agus VeeT a bhith ceangailte taobh a-staigh modal SFP.
    2. RD-/+: Is iad seo na toraidhean cuidhteas eadar-dhealaichte.Tha iad nan loidhnichean eadar-dhealaichte 100Ω ceangailte le DC a bu chòir a thoirt gu crìch le 100Ω (eadar-dhealaichte) aig an neach-cleachdaidh SERDES.
    3. Is e VccR agus VccT na solarachaidhean cumhachd glacadair agus inneal-sgaoilidh.Tha iad air am mìneachadh mar 3.3V ±5% aig prìne ceangail SFP.Is e an sruth solair as àirde 450mA.Tha sìoladh solar cumhachd bòrd aoigheachd air a mholadh gu h-ìosal.Bu chòir inductors le strì DC nas lugha na 1Ω a chleachdadh gus an bholtachd riatanach a chumail aig prìne cuir a-steach SFP le bholtadh solair 3.3V.Nuair a thèid an lìonra sìoltachaidh solair a thathar a’ moladh a chleachdadh, le bhith a’ plugadh teth a’ mhodal transceiver SFP thig sruth inrush nach eil nas motha na 30 mA nas àirde na an luach stàite seasmhach.Faodaidh VccR agus VccT a bhith ceangailte taobh a-staigh modal transceiver SFP.
    4. TD-/+: Is iad seo na cuir a-steach tar-chuir eadar-dhealaichte.Tha iad nan loidhnichean eadar-dhealaichte ceangailte ri AC le crìochnachadh eadar-dhealaichte 100Ω taobh a-staigh a’ mhodal.Tha an ceangal AC air a dhèanamh taobh a-staigh a 'mhodail agus mar sin chan eil feum air air a' bhòrd aoigheachd.

    Diagram pacaid
    03
    Circuit air a mholadh
    04
     
    Thoir an aire:
    Tx: AC ceangailte air an taobh a-staigh.
    R1=R2=150Ω.
    Rx: Toradh LVPECL, DC ceangailte air an taobh a-staigh.
    Ìre cuir a-steach ann an SerDes IC le claonadh a-staigh gu Vcc-1.3V
    R3=R4=R5=R6=NC
    Ìre cuir a-steach ann an SerDes IC gun chlaonadh a-staigh gu Vcc-1.3V
    R3=R4=130Ω, R5=R6=82Ω.
    Mìneachadh Paramadair Ùine
    05
    06
    UairOfRSSI didseatach
    07

    PARAMAID

    SIOMBAIL

    MIN

    TIP

    MAX

    AONAID

    Fad pacaid

    -

    600

    -

    -

    ns

    Dàil brosnachaidh

    Td

    100

    -

    -

    ns

    Spreagadh RSSI agus ùine sampall

    Tw

    500

    -

    -

    ns

    Dàil a-staigh

    Ts

    500

    -

    -

    us

    Atharraich Eachdraidh

    Tionndadh

    Atharraich Tuairisgeul

    Issued By

    Air a dhearbhadh le

    Appoved By

    SgaoilCeann-latha

    A

    Sgaoileadh tùsail

    8

     09

     10

    2016-01-18

     

    REV: A
    Ceann-latha: Lùnastal 30, 2012
    Sgrìobh le: HDV phoelectron teicneòlas LTD
    Cuir fios gu: Seòmar 703, baile colaiste saidheans sgìre Nanshan, Shenzhen, Sìona
    WEB: Http://www.hdv-tech.com

    Sònrachaidhean Coileanaidh

    Ìrean iomlan as àirde

    Paramadair

    samhladh

    Min.

    Max.

    Aonad

    Thoir an aire

    Teòthachd stòraidh

    Tst

    -40

    +85

    °C

    Teòthachd cùis-obrachaidh

    Tc

    0

    70

    °C

    Voltage a-steach

    -

    GND

    Vcc

    V

    Voltage solair cumhachd

    Vcc-Vee

    -0.5

    +3.6

    V

    Riatanasan Obrachaidh air a mholadh

    Paramadair

    samhladh

    Min.

    àbhaisteach

    Max.

    Aonad

    Thoir an aire

    Voltage solair cumhachd

    Vcc

    3. 135

    3.3

    3.465

    V

    Teòthachd cùis-obrachaidh

    Tc

    0

    -

    70

    °C

    Ìre dàta

    DR

    -

    1.25

    -

    Gbps

    Solarachadh Iomlan an-dràsta

    -

    -

    -

    400

    mA

    Cothrom Milleadh don Neach-gabhail

    -

    -

    -

    4

    dBm

     

    Sònrachadh Optical

    Transmitter

    Paramadair

    samhladh

    Min.

    Taidhp.

    Max.

    Aonad

    Thoir an aire

    Optical Central Wavelength

    l

    1480

    1490

    1500

    nm

    -

    Leud speactram (-20dB)

    Dl

    -

    -

    1

    nm

    -

    Co-mheas casg modh taobh

    SMSR

    30

    -

    -

    dB

    -

    Cuibheasach Optical cumhachd toradh

    Po

    +3

    -

    +7

    dBm

    -

    Co-mheas a dhol à bith

    Er

    9

    -

    -

    dB

    -

    Àm èirigh/tuiteam

    Tr/Tf

    -

    -

    260

    ps

    -

    Transmitter Total Jitter

    Jp-p

    -

    -

    344

    ps

    Meòrachadh Transmitter

    RFL

    -

    -

    -12

    dB

    Cumhachd cuibheasach air a chuir air falbh bhon inneal-sgaoilidh

    Poff

    -

    -

    -39

    dBm

    -

    Voltage cuir a-steach diofraichte

    VIN-DIF

    300

    -

    1600

    mV

    -

    Tx Cuir à comas bholtadh a-steach - ìosal

    VIL

    0

    -

    0.8

    V

    -

    Tx Cuir à comas bholtadh cuir a-steach - àrd

    VIH

    2.0

    -

    Vcc

    V

    -

    Sùil Toradh

    A’ gèilleadh ri IEEE 802.3ah-2004

    Glacadair

    Paramadair

    samhladh

    Min.

    Taidhp.

    Max.

    Aonad

    Thoir an aire

    Obraich Wavelength

    -

    1280

    1310

    1340

    nm

    -

    Cugallachd

    Pr

    -

    -

    -30

    dBm

    1

    Sàthadh

    Ps

    -6

    -

    -

    dBm

    1

    Tha ìre iomlaid LOS air a thaisbeanadh

    -

    -45

    -

    -

    dBm

    -

    Ìre LOS De-Assert

    -

    -

    -

    -30

    dBm

    -

    Los hysteresis

    -

    0.5

    -

    5

    dB

    -

    Receiver Optical Reflection

    -

    -

    -

    -12

    dB

    -

    Toradh Dàta Ìosal

    Vol

    -2

    -

    -1.58

    V

    -

    Toradh dàta àrd

    Bhò

    -1.1

    -

    -0.74

    V

    -

    Voltage-ìosal aig LOSOutput

    VSD-L

    0

    -

    0.8

    V

    -

    Voltage Toradh LOS - Àrd

    VSD-H

    2.0

    -

    Vcc

    V

    Thoir an aire:
    1. Ìrean cugallachd agus sùghaidh as ìsle airson 8B10B 27-1 PRBS.BER≤10-12, 1.25Gpbs, ER = 9dB

    Fiosrachadh EEPROM

    Clàr-innse cuimhne ID sreathach EEPROM (A0h)

    Addr.

    (deicheach)

    Meud Achaidh

    (Biotadh)

    Ainm an Achaidh

    Susbaint

    (Hex)

    Susbaint

    (Deachach)

    Tuairisgeul

    0

    1

    Aithneadair

    03

    3

    SFP

    1

    1

    Leud.Aithneadair

    04

    4

    MOD4

    2

    1

    Ceangalaiche

    01

    1

    SC

    3-10

    8

    Transceiver

    00 00 00 80

    00 00 00 00

    00 00 00 128

    00 00 00 00

    EPON

    11

    1

    Còdachadh

    01

    1

    8B10B

    12

    1

    BR, ainmichte

    0C

    12

    1.25Gbps

    13

    1

    Glèidhte

    00

    0

    -

    14

    1

    Fad (9um) -km

    14

    20

    20/km

    15

    1

    Fad (9um)

    C8

    200

    20 cilemeatair

    16

    1

    Fad (50um)

    00

    0

    -

    17

    1

    Fad (62.5um)

    00

    0

    -

    18

    1

    Fad (copar)

    00

    0

    -

    19

    1

    Glèidhte

    00

    0

    -

    20-35

    16

    Ainm an neach-reic

    48 44 56 20 20 20 20 20 20 20 20 20 20 20 20 20

    90 45 81 85 73

    67 75 32 32 32

    32 32 32 32 32

    32

    HDV (ASCII)

    36

    1

    Glèidhte

    00

    0

    -

    37-39

    3

    Neach-reic OUI

    00 00 00

    000

    -

    40-55

    16

    Neach-reic PN

    5A 4C 35 34 33 32 30 39 39 2D 49 43 53 20 20 20

    90 76 53 52 51 50 48 57 57 45 73 67 83 32 32 32

    'ZL5432099-iCS'

    (ASCII)

    56-59

    4

    Neach-reic an t-Urr

    30 30 30 20

    48 48 48 32

    “000” (ASCII)

    60-61

    2

    Tonn-thonn

    05 D2

    05 210

    1490

    62

    1

    Glèidhte

    00

    0

    -

    63

    1

    CC BAS

    -

    -

    Thoir sùil air suim bytes 0-62

    64

    1

    Glèidhte

    00

    0

    65

    1

    Roghainnean

    1A

    26

    66

    1

    BR, max

    00

    0

    -

    67

    1

    BR, min

    00

    0

    -

    68-83

    16

    Neach-reic SN

    -

    -

    ASCII

    84-91

    8

    Ceann-latha reiceadair

    -

    -

    Bliadhna (2 bytes), Mìos (2 bytes), Latha (2 bytes)

    92

    1

    Seòrsa DDM

    68

    104

    Calibrated a-staigh

    93

    1

    Roghainn leasaichte

    B0

    176

    LOS, TX_FAULT agus Alarm / brataichean rabhaidh air an cur an gnìomh

    94

    1

    Gèilleadh SFF-8472

    03

    3

    SFF-8472 An t-Urr 10.3

    95

    1

    CC EXT

    -

    -

    Thoir sùil air suim bytes 64-94

    96-255

    160

    Sònrachadh reiceadair

    Ceumannan rabhaidh is rabhaidh(ID sreathachA2H)

    Paramadair (Aonad)

    C Teòthachd
    (℃)

    Bholtaids
    (V)

    Bias
    (mA)

    Cumhachd TX
    (dBm)

    Cumhachd RX
    (dBm)

    Alarm àrd

    100

    3.6

    90

    +7

    -6

    Alarm ìosal

    -10

    3

    0

    +2

    -30

    Rabhadh àrd

    95

    3.5

    70

    +6

    -7

    Rabhadh Ìosal

    0

    3.1

    0

    +3

    -29

     

    Cruinneas sgrùdaidh Diagnostic Didseatach

    Paramadair Aonad Cruinneas

    Raon

    Calibration

    Tx Cumhachd Optical

    dB

    ±3

    Po: -Pomin ~ Pomax dBm, Suidheachadh obrach a thathar a’ moladh

    Taobh a-muigh / a-staigh

    Rx cumhachd optigeach

    dB

    ±3

    Pi: Ps ~ Pr dBm, Suidheachadh obrachaidh a thathar a’ moladh

    Taobh a-muigh / a-staigh

    Bias an-dràsta

    %

    ±10

    Id: 1-100mA, suidheachaidhean obrach air a mholadh

    Taobh a-muigh / a-staigh

    Voltage solair cumhachd

    %

    ±3

    Riatanasan obrachaidh a thathar a’ moladh

    Taobh a-muigh / a-staigh

    Teòthachd a-staigh

    ±3

    Riatanasan obrachaidh a thathar a’ moladh

    Taobh a-muigh / a-staigh

    Diagram PIN
    02
    Tuairisgeul PIN

    Pin Àir.

    Ainm

    Gnìomh

    Plug Seq.

    Notaichean

    1

    VeeT

    Talamh Transmitter

    1

    2

    Tx Sgàineadh

    Comharrachadh Sgàineadh Transmitter

    3

    Nota 1

    3

    Tx à comas

    Transmitter à comas

    3

    Nota 2

    4

    MOD-DEF2

    Mìneachadh modal 2

    3

    Nota 3

    5

    MOD-DEF1

    Mìneachadh modal 1

    3

    Nota 3

    6

    MOD-DEF0

    Mìneachadh modal 0

    3

    Nota 3

    7

    RSSI_Trioblaid

    Comharradh Neart Comharran Glacadair

    3

    8

    LOS

    Los Of Signal

    3

    Nota 4

    9

    VeeR

    Àite glacadair

    1

    Nota 5

    10

    VeeR

    Àite glacadair

    1

    Nota 5

    11

    VeeR

    Àite glacadair

    1

    Nota 5

    12

    RD-

    Inv.Dàta Glacadair a-mach

    3

    Nota 6

    13

    RD+

    Dàta Glacadair a-mach

    3

    Nota 6

    14

    VeeR

    Àite glacadair

    1

    Nota 5

    15

    VccR

    Solarachadh Cumhachd Glacadair

    2

    Nota 7, 3.3V± 5%

    16

    VccT

    Solar cumhachd Transmitter

    2

    Nota 7, 3.3V± 5%

    17

    VeeT

    Talamh Transmitter

    1

    Nota 5

    18

    TD+

    Dàta tar-chuir a-steach

    3

    Nota 8

    19

    TD-

    Inv.Transmitter Data In

    3

    Nota 8

    20

    VeeT

    Talamh Transmitter

    1

    Nota 5

     

    Iarrtasan Bathar
    GEPON OLT Airson Iarrtas P2MP
    Coitcheann
    Tha an transceiver HDV ZL5432099-ICS le taic ìre dàta de 1.25 Gbps àbhaisteach airson tagradh GEPON OLT suas gu astar tar-chuir 20km, tha e air a dhealbhadh a’ coinneachadh ri riatanasan teicnigeach uidheamachd China Telecom EPON V2.1 1000BASE-PX20 + mion-chomharrachadh.Tha cuibhreann SC airson eadar-aghaidh optigeach.
    01

    Bidh am modal a’ toirt seachad fiosrachadh breithneachaidh didseatach mu na suidheachaidhean agus an inbhe obrachaidh aige, a’ toirt a-steach cumhachd tar-chuir, claonadh laser, cumhachd optigeach cuir a-steach cuidhteas, teòthachd modal, agus bholtadh solair.Tha calibration agus dàta stairsnich rabhaidh / rabhaidh air an sgrìobhadh agus air an stòradh ann an cuimhne a-staigh (EEPROM).Tha am mapa cuimhne co-chòrdail ri SFF-8472, mar a chithear ann am Fig. 2. 'S e luachan amh A/D a th' anns an dàta breithneachaidh agus feumar a thionndadh gu aonadan fìor-saoghail a' cleachdadh ìrean calibration a tha air an stòradh ann an ionadan EEPROM 56 – 95 ann an A2h.
    11

    Sgrìobh do theachdaireachd an seo agus cuir thugainn e
    lìn 天