• Giga@hdv-tech.com
  • 24H Inkonzo ye-Intanethi:
    • 7189078c
    • sns03
    • 6660e33e
    • youtube 拷贝
    • instagram

    1000BASE-PX20++ EPON OLT SFP Transceiver ZL5432099-ICS

    Inkcazelo emfutshane:

     I-SC BIDI SFP I-Transceiver yeNdlela enye

     Iyahambelana ne-SFP MSA kunye ne-SFF-8472

    I-Hot-pluggable

     Umntu omnye +3.3 Ubonelelo lwaMandla

     Ihambelana neTelcordia (Bellcore) GR-468-CORE

     I-1490nm yeMowudi eQhubekayo yoThutho kunye ne-1310nm yeNdlela yokuQhuba i-APD-TIA

     Ireyithi yedatha eqhelekileyo 1.25 Gbps, Ubukhulu bufikelela kwi-20km

     Thobela iChina Telecom izixhobo zeEPON imfuneko yobugcisa V2.1 1000BASE-PX20++


    Iinkcukacha zeMveliso

    Iiparamitha

    Usetyenziso

    Ividiyo

    Iithegi zeMveliso


    Amanqaku:

    1. I-TX Fault ngumqokeleli ovulekileyo / imveliso yokukhupha, ekufuneka itsalwe phezulu kunye ne-4.7K-10KΩ resistor kwibhodi yokusingatha.Tsala amandla ombane phakathi kwe-2.0V kunye neVccT, R+0.3V.Xa iphezulu, imveliso ibonisa impazamo ye-laser yolunye uhlobo.Okuphantsi kubonisa ukusebenza okuqhelekileyo.Kwimeko ephantsi, imveliso iya kutsalwa ku-<0.8V.
    2. I-TX disable ligalelo elisetyenziselwa ukuvala imveliso ye-transmitter optical.Itsalwa phezulu ngaphakathi kwimodyuli ene-4.7–10 KΩ resistor.Iimeko zayo zezi:

    Phantsi (0 – 0.8V): Transmitter on
    (>0.8, < 2.0V): Akuchazwanga
    Phezulu (2.0 - 3.465V): I-Transmitter ikhubazekile
    Vula: IsiThuthi sikhubazekile

    1. Mod-Def 0,1,2.Ezi zizikhonkwane zenkcazo yemodyuli.Kufuneka batsalwe phezulu nge-4.7K - 10KΩ resistor kwibhodi yokusingatha.Umbane wokutsalela phezulu uya kuba yiVccT okanye iVccR.

    I-Mod-Def 0 isekelwe kwimodyuli ukubonisa ukuba imodyuli ikhona
    I-Mod-Def 1 yilayini yewotshi yojongano lweengcingo ezimbini ze-serial ID
    I-Mod-Def 2 yilayini yedatha ye-interface ye-serial ye-serial ye-serial ID
    4. I-LOS (Ukulahleka kweSignali) ngumqokeleli ovulekileyo / ukukhutshwa kwe-drain, ekufuneka ikhutshwe kunye ne-4.7K - 10KΩ resistor.Tsala amandla ombane phakathi kwe-2.0V kunye neVccT, R+0.3V.Xa iphezulu, le mveliso ibonisa amandla optical afunyenweyo angaphantsi kweyona meko imbi kakhulu (njengoko kuchazwe ngumgangatho osetyenziswayo).Okuphantsi kubonisa ukusebenza okuqhelekileyo.Kwimeko ephantsi, imveliso iya kutsalwa ku-<0.8V.

    1. I-VeeR kunye ne-VeeT inokudibaniswa ngaphakathi ngaphakathi kwimodyuli ye-SFP.
    2. RD-/+: Ezi ziziphumo zomamkeli ohlukeneyo.Ziyi-DC ezidityaniswe ne-100Ω imigca eyohlukileyo ekufuneka ipheliswe nge-100Ω (umahluko) kumsebenzisi we-SERDES.
    3. I-VccR kunye ne-VccT ngamamkeli kunye nonikezelo lwamandla okuhambisa.Zichazwa njenge-3.3V ± 5% kwi-pin yokudibanisa i-SFP.Ubuninzi bokubonelela ngoku yi-450mA.Uhluzo lonikezelo lombane lwebhodi ecetyiswayo luboniswe ngezantsi.Ii-inductors ezinokumelana ne-DC ngaphantsi kwe-1Ω kufuneka zisetyenziswe ukuze kugcinwe i-voltage efunekayo kwi-SFP ye-input pin kunye ne-3.3V yombane wokubonelela.Xa kusetyenziswa inethiwekhi yokucoca unikezelo olucetyiswayo, iplagi eshushu yemodyuli ye-transceiver ye-SFP iya kubangela i-inrush current engekho ngaphezu kwe-30 mA enkulu kunexabiso lesimo esizinzileyo.I-VccR kunye ne-VccT inokudityaniswa ngaphakathi ngaphakathi kwimodyuli ye-transceiver ye-SFP.
    4. TD-/+: La ngamagalelo okuhambisa umahluko.Ziyi-AC-dityanisiwe, imigca eyahlukileyo kunye ne-100Ω ukupheliswa kokwahlukana ngaphakathi kwimodyuli.Ukudibanisa kwe-AC kwenziwa ngaphakathi kwimodyuli kwaye ngoko akufunwa kwibhodi yokusingatha.

    Umzobo wePakethi
    03
    ISekethe eCetyisiweyo
    04
     
    Phawula:
    Tx:AC idityaniswe ngaphakathi.
    I-R1=R2=150Ω.
    Rx: Imveliso yeLVPECL, iDC idityaniswe ngaphakathi.
    Inqanaba lokufaka kwi-SerDes IC kunye necala langaphakathi kwi-Vcc-1.3V
    R3=R4=R5=R6=NC
    Inqanaba lokufakwayo kwi-SerDes IC ngaphandle kokuthambekela kwangaphakathi kwi-Vcc-1.3V
    R3=R4=130Ω, R5=R6=82Ω.
    Ixesha leParameter Inkcazo
    05
    06
    IxeshaOfI-RSSI yedijithali
    07

    I-PARAMETER

    UMFANEKISO

    MIN

    TYP

    MAX

    IIUNITHI

    Ubude bePakethi

    -

    600

    -

    -

    ns

    Qalisa ulibaziseko

    Td

    100

    -

    -

    ns

    I-RSSI Trigger kunye nexesha leSampuli

    Tw

    500

    -

    -

    ns

    Ukulibaziseka kwangaphakathi

    Ts

    500

    -

    -

    us

    Guqula iMbali

    Inguqulelo

    Guqula iNkcazelo

    Issued By

    Ijongwe Ngu

    Appoved By

    KhululaUmhla

    A

    Ukukhutshwa kokuqala

    8

     09

     10

    2016-01-18

     

    I-REV: A
    UMHLA: Ngo-Agasti 30, 2012
    Bhala ngu: HDV iteknoloji phoelectron LTD
    Qhagamshelana: Igumbi703, idolophu yekholeji yesayensi yesithili saseNanshan, eShenzhen, eTshayina
    WEBHU: Http://www.hdv-tech.com

    Iinkcukacha Zokusebenza

    IiReyithingi eziphezulu ezipheleleyo

    Ipharamitha

    Uphawu

    Min.

    Max.

    Iyunithi

    Phawula

    Ubushushu boGcino

    Tst

    -40

    +85

    °C

    Ubushushu beCase yokuSebenza

    Tc

    0

    70

    °C

    Igalelo lombane

    -

    GND

    Vcc

    V

    Ubonelelo lwaMandla ombane

    Vcc-Vee

    -0.5

    +3,6

    V

    IiMeko zokuSebenza eziCetyisiweyo

    Ipharamitha

    Uphawu

    Min.

    Isiqhelo

    Max.

    Iyunithi

    Phawula

    Ubonelelo lwaMandla ombane

    Vcc

    3.135

    3.3

    3.465

    V

    Ubushushu beCase yokuSebenza

    Tc

    0

    -

    70

    °C

    Data Rate

    DR

    -

    1.25

    -

    Gbps

    Unikezelo olupheleleyo lwangoku

    -

    -

    -

    400

    mA

    Umonakalo Umda Womamkeli

    -

    -

    -

    4

    dBm

     

    Inkcazo ye-Optical

    Isidluliseli

    Ipharamitha

    Uphawu

    Min.

    Chwetheza.

    Max.

    Iyunithi

    Phawula

    I-Optical Central Wavelength

    l

    1480

    1490

    1500

    nm

    -

    Ububanzi beSpectral (-20dB)

    Dl

    -

    -

    1

    nm

    -

    I-Side Mode Suppression Ratio

    SMSR

    30

    -

    -

    dB

    -

    I-Avareji ye-Optical Output Power

    Po

    +3

    -

    +7

    dBm

    -

    Umlinganiselo wokuphela

    Er

    9

    -

    -

    dB

    -

    Ixesha lokuvuka/lokuwa

    Tr/Tf

    -

    -

    260

    ps

    -

    Transmitter Total Jitter

    Jp-p

    -

    -

    344

    ps

    Transmitter Reflectance

    RFL

    -

    -

    -12

    dB

    I-Avareji eQatshiweyo yaMandla e-Off Transmitter

    Poff

    -

    -

    -39

    dBm

    -

    Umthamo woNgeniso owahlukileyo

    VIN-DIF

    300

    -

    1600

    mV

    -

    Tx Khubaza i-Voltage Input-Low

    VIL

    0

    -

    0.8

    V

    -

    Tx Khubaza i-Voltage Input-High

    VIH

    2.0

    -

    Vcc

    V

    -

    Iliso Lemveliso

    Iyahambelana ne-IEEE 802.3ah-2004

    Umamkeli

    Ipharamitha

    Uphawu

    Min.

    Chwetheza.

    Max.

    Iyunithi

    Phawula

    Sebenza Wavelength

    -

    1280

    1310

    1340

    nm

    -

    Uvakalelo

    Pr

    -

    -

    -30

    dBm

    1

    Ukuzalisa

    Ps

    -6

    -

    -

    dBm

    1

    Inqanaba le-LOS assert

    -

    -45

    -

    -

    dBm

    -

    Inqanaba le-LOS De-Assert

    -

    -

    -

    -30

    dBm

    -

    LOS Hysteresis

    -

    0.5

    -

    5

    dB

    -

    Ummkeli we-Optical Reflectance

    -

    -

    -

    -12

    dB

    -

    Isiphumo seDatha siPhantsi

    Umqulu

    -2

    -

    -1.58

    V

    -

    Isiphumo seDatha siPhezulu

    Voh

    -1.1

    -

    -0.74

    V

    -

    I-LOOutput Voltage-Low

    I-VSD-L

    0

    -

    0.8

    V

    -

    I-LOS Output Voltage-High

    VSD-H

    2.0

    -

    Vcc

    V

    Phawula:
    1. Ubuncinci beSensitivity kunye namanqanaba okuzaliseka kwe-8B10B 27-1 PRBS.BER≤10-12, 1.25Gpbs, ER=9dB

    EEPROM Ulwazi

    EEPROM Uthotho lweMixholo ye-ID ye-ID (A0h)

    Addr.

    (idesimali)

    Ubungakanani bommandla

    (Bytes)

    Igama leNdawo

    Umxholo

    (Hex)

    Umxholo

    (idesimali)

    Inkcazo

    0

    1

    Isichongi

    03

    3

    SFP

    1

    1

    Ext.Isichongi

    04

    4

    MOD4

    2

    1

    Isidibanisi

    01

    1

    SC

    3-10

    8

    I-Transceiver

    00 00 00 80

    00 00 00 00

    00 00 00 128

    00 00 00 00

    EPON

    11

    1

    Ufakelo lweekhowudi

    01

    1

    8B10B

    12

    1

    BR, igama

    0C

    12

    1.25Gbps

    13

    1

    Igciniwe

    00

    0

    -

    14

    1

    Ubude (9um)-km

    14

    20

    20/km

    15

    1

    Ubude (9um)

    C8

    200

    20km

    16

    1

    Ubude (50um)

    00

    0

    -

    17

    1

    Ubude (62.5um)

    00

    0

    -

    18

    1

    Ubude (ubhedu)

    00

    0

    -

    19

    1

    Igciniwe

    00

    0

    -

    20-35

    16

    Igama lomthengisi

    48 44 56 20 20 20 20 20 20 20 20 20 20 20 20 20

    90 45 81 85 73

    67 75 32 32 32

    32 32 32 32 32

    32

    I-HDV (ASCII)

    36

    1

    Igciniwe

    00

    0

    -

    37-39

    3

    Umthengisi OUI

    00 00 00

    000

    -

    40-55

    16

    Umthengisi PN

    5A 4C 35 34 33 32 30 39 39 2D 49 43 53 20 20 20

    90 76 53 52 51 50 48 57 57 45 73 67 83 32 32 32

    'ZL5432099-ICS'

    (ASCII)

    56-59

    4

    Umthengisi umfundisi

    30 30 30 20

    48 48 48 32

    “000” (ASCII)

    60-61

    2

    Ubude bamaza

    05 D2

    05 210

    1490

    62

    1

    Igciniwe

    00

    0

    -

    63

    1

    CC ISISEKO

    -

    -

    Jonga isixa seebhayithi 0 - 62

    64

    1

    Igciniwe

    00

    0

    65

    1

    Iinketho

    1A

    26

    66

    1

    BR, ubuninzi

    00

    0

    -

    67

    1

    BR, min

    00

    0

    -

    68-83

    16

    Umthengisi SN

    -

    -

    ASCII

    84-91

    8

    Umhla womthengisi

    -

    -

    Unyaka (2 bytes), Inyanga (2 bytes), Usuku (2 bytes)

    92

    1

    Uhlobo lweDDM

    68

    104

    Yangaphakathi Ilinganisiwe

    93

    1

    Ukhetho olomeleziweyo

    B0

    176

    LOS, TX_FAULT kunye ne-Alarm/isilumkiso seeflegi ziphunyeziwe

    94

    1

    Ukuthotyelwa kwe-SFF-8472

    03

    3

    SFF-8472 Rev 10.3

    95

    1

    CC EXT

    -

    -

    Jonga isixa seebhayithi 64 – 94

    96-255

    160

    Umthengisi spec

    I-Alamu kunye neNqaku lesilumkiso(Isazisi sothothoA2H)

    Ipharamitha(Iyunithi)

    C Temp
    (℃)

    I-Voltage
    (V)

    Ukukhetha icala
    (ma)

    Amandla eTX
    (dBm)

    RX Amandla
    (dBm)

    I-alamu ephezulu

    100

    3.6

    90

    +7

    -6

    I-Alarm ephantsi

    -10

    3

    0

    +2

    -30

    Isilumkiso esiPhezulu

    95

    3.5

    70

    +6

    -7

    Isilumkiso esiphantsi

    0

    3.1

    0

    +3

    -29

     

    Ukuchaneka kweDigital Diagnostic Monitor

    Ipharamitha Iyunithi Ukuchaneka

    Uluhlu

    Ulungelelwaniso

    Tx Amandla okukhanya

    dB

    ±3

    Po: -Pomin~Pomax dBm, Iimeko zokusebenza ezicetyiswayo

    Ngaphandle/Ngaphakathi

    Rx Amandla okukhanya

    dB

    ±3

    Pi: Ps~Pr dBm, Iimeko zokusebenza ezicetyiswayo

    Ngaphandle/Ngaphakathi

    Umkhethe ngoku

    %

    ±10

    I-ID: 1-100mA, Iimeko zokusebenza ezicetyiswayo

    Ngaphandle/Ngaphakathi

    Ubonelelo lwaMandla ombane

    %

    ±3

    Iimeko zokusebenza ezicetyiswayo

    Ngaphandle/Ngaphakathi

    Ubushushu bangaphakathi

    ±3

    Iimeko zokusebenza ezicetyiswayo

    Ngaphandle/Ngaphakathi

    I-PIN idayagram
    02
    Inkcazo yePIN

    Inombolo yePin.

    Igama

    Umsebenzi

    Iplagi yeSeq.

    Amanqaku

    1

    VeeT

    Umhlaba woThutho

    1

    2

    Tx Fault

    Isalathiso seFault yeTransmitter

    3

    Qaphela 1

    3

    Tx Khubaza

    Isidlulisi Khubaza

    3

    Inqaku lesi-2

    4

    MOD-DEF2

    IModyuli yeNkcazelo yesi-2

    3

    Inqaku lesi-3

    5

    MOD-DEF1

    Inkcazo yeModyuli 1

    3

    Inqaku lesi-3

    6

    MOD-DEF0

    Inkcazo yeModyuli 0

    3

    Inqaku lesi-3

    7

    RSSI_Trigg

    Ummkeli weSibonakaliso saMandla oMqondiso

    3

    8

    LOS

    Ukulahleka koMqondiso

    3

    Inqaku lesi-4

    9

    VeeR

    I-Receiver Ground

    1

    Inqaku lesi-5

    10

    VeeR

    I-Receiver Ground

    1

    Inqaku lesi-5

    11

    VeeR

    I-Receiver Ground

    1

    Inqaku lesi-5

    12

    RD-

    Inv.Idatha yoMmkeli ngaphandle

    3

    Inqaku lesi-6

    13

    I-RD+

    Idatha yoMmkeli ngaphandle

    3

    Inqaku lesi-6

    14

    VeeR

    I-Receiver Ground

    1

    Inqaku lesi-5

    15

    VccR

    Ubonelelo lwaMandla omamkeli

    2

    Qaphela 7, 3.3V± 5%

    16

    VccT

    Ubonelelo lwaMandla oThutho

    2

    Qaphela 7, 3.3V± 5%

    17

    VeeT

    Umhlaba woThutho

    1

    Inqaku lesi-5

    18

    TD+

    Transmitter Data In

    3

    Inqaku lesi-8

    19

    TD-

    I-Inv.Transmitter Data In

    3

    Inqaku lesi-8

    20

    VeeT

    Umhlaba woThutho

    1

    Inqaku lesi-5

     

    Izicelo zeMveliso
    I-GEPON OLT Yesicelo se-P2MP
    Ngokubanzi
    I-HDV ZL5432099-ICS i-transceiver enenkxaso yezinga ledatha ye-1.25 Gbps yesicelo se-GEPON OLT ukuya kwi-20km umgama wothumelo, iyilwe intlanganiso kunye ne-China Telecom EPON imfuno yobuchwepheshe ye-V2.1 1000BASE-PX20+ iinkcukacha.I-SC rececptacle yeyojongano olubonakalayo.
    01

    Imodyuli inikezela ngolwazi lokuxilonga idijithali yeemeko zayo zokusebenza kunye nobume, kubandakanywa amandla okuhambisa, i-laser bias, i-receiver input optical power, ubushushu bemodyuli, kunye nombane wokubonelela.Ukulinganisa kunye ne-alarm / isilumkiso sedatha ye-threshold ibhaliwe kwaye igcinwe kwimemori yangaphakathi (EEPROM).Imephu yememori iyahambelana ne-SFF-8472, njengoko kuboniswe kwi-Fig. 2. Idatha yokuxilonga i-A / D eluhlaza kwaye kufuneka iguqulelwe kwiiyunithi zehlabathi zangempela zisebenzisa i-containants calibration constants ezigcinwe kwiindawo ze-EEPROM 56 - 95 kwi-A2h.
    11

    Bhala umyalezo wakho apha kwaye uwuthumele kuthi
    web聊天