• sales@hdv-tech.com
  • 24H Au'aunaga i luga ole laiga:
    • 7189078c
    • sns03
    • 6660e33e
    • youtube 拷贝
    • instagram

    Kaseti atamai GPON OLT 4(8)PON

    Fa'amatalaga Puupuu:

    O lenei OLT e talafeagai mo Faʻasalalauga tolu i le tasi, fesoʻotaʻiga mataʻituina ata, atinaʻe LAN, IoT, ma isi. O lenei OLT Faʻafeiloaʻi Faʻasalalauga Faʻasalalau ma Faʻamautu.

     

    Mea: atigi uamea

    Tele: 437mm×44mm×280mm(L*W*H)

    Mamafa: ≤5kg


    Fa'amatalaga Oloa

    Parameter

    Faailoga o oloa

    GPON OLT 4PON01

    • 1RU19 inisi
    • 1 + 1 fa'aletonu mana
    • 4 * fa'amautu GPON taulaga
    • 4*GE COMBO uafu,2*10GE SFP+ taulaga
    • 1 * pusa faʻamafanafanaga, 1 * taulaga AUX
    • 2* uafu avanoa fa'ailo

    GPON OLT 8PON01

    • 1RU19 inisi
    • 1 + 1 fa'aletonu mana
    • 8 * fa'amautu GPON taulaga
    • 4*GE COMBO uafu,2*10GE SFP+
    • 1 * pusa faʻamafanafanaga, 1 * taulaga AUX
    • 2 * uafu avanoa fa'ailo

    Fa'amatalaga o oloa:

    Aitema GPON OLT 4PON GPON OLT 8PON
    Suiga Gafatia 63Gbps 78Gbps
    Avanoa Fa'asalalauina (Ipv4/Ipv6) 46.8Mpps 58Mpps

    Taulaga Auaunaga

    4 * PON uafu, 4 * GE SFP uafu, 4 * GE COMBO uafu, 2 * 10GE SFP + uafu 8 * PON uafu, 4 * GE SFP uafu, 4 * GE COMBO uafu, 2 * 10GE SFP + uafu

    Redundancy Design

    Sapalai mana lua
    Lagolago fa'aoga lua AC, fa'aoga fa'alua DC ma fa'aoga AC + DC

    Paoa sapalai

    AC: fa'aoga 90~264V 47/63Hz;
    DC: fa'aoga -36V~-72V;

    Fa'aaogāina Malosiaga

    50W

    Fua (Lele xLl. x Maualuluga)

    437mm×44mm×280mm

    mamafa

    ≤5KG

    Manaoga Faalesiosiomaga

    vevela galue: -10°C~55°C

    Teuga vevela: -40°C~70°C

    Susū fa'atusa: 10% ~ 90%, e le fa'afefe

    Mea e Fa'atino:

    Aitema GPON OLT 4(8)PON
    PON Features ITU-TG.984.x;
    SN/Utulautusi/SN+ upu faataga/LOID/LOID Upu faataga/LOID+LOID Fa'amatalaga fa'amaonia auala;
    DBA algorithm, ma o le vaega e mo le 64Kbit/s;
    Fa'atonuga ole pulega ole OMCI;
    ONU batch software faʻaleleia;
    su'esu'eina o parakalafa opitika uafu PON;
    L2 Vaega MAC MAC Puuliuli;
    Tapulaa MAC Taulaga;
    32K MAC (packet exchange chip cache 2MB);
    VLAN 4K VLAN fa'amaumauga;
    Fa'avasegaina VLAN fa'avae i luga ole taulaga;
    Uplink static QinQ ma fetuutuunai QinQ(Stack VLAN);
    Uplink VLAN Swap ma VLAN Fa'amatalaga;
    GVRP;
    La'au Spanning STP/RSTP/MSTP;
    Su'esu'eina matasele mamao;
    Taulaga Fa'atonuga o le bandwidth fa'atonu;
    Lagolago static ma LACP dynamic port aggregation;
    Fa'ata uafu;
    Saogalemu
    Vaega
    Puipuiga ole Tagata Fa'aoga Anti-ARP-spoofing;
    Anti-ARP-lologa;
    IP Source Guard mo le fatuina o le IP + VLAN + MAC + Port binding;
    Fa'esea o Taulaga;
    O le tuatusi MAC o loʻo fusifusia i le taulaga ma le faʻaogaina o le tuatusi MAC;
    IEEE 802.1x ma AAA/Radius fa'amaoni;
    Puipuiga o masini Lagolago le faʻatonuga e puipuia ai le tele o osofaʻiga a le DOS ma osofaʻiga faʻamaʻi i le PPU;
    SSHv2 Secure Shell;
    SNMP v3 pulega fa'ailoga;
    Login Security IP e ala i Telnet;
    Pulea fa'atonu ma le puipuiga o upu fa'aoga o tagata fa'aoga;
    Saogalemu Fesootaiga Su'esu'ega ta'avale MAC ma le ARP fa'aoga-fa'aoga;
    Fa'atapula'a fe'avea'i ARP a tagata ta'ito'atasi ma fa'amalosi le fa'aoga fa'atasi ma femalagaiga ARP fa'aletonu;
    Dynamic ARP faʻavae laulau faʻavae;
    IP + VLAN + MAC + Port fusifusia;
    L2 i le L7 ACL fa'amama fa'amama masini i luga o le 80 paita o le ulu o fa'amatalaga fa'aoga-tagata;
    Fa'asalalauga fa'avae/fa'asalalauga fa'atele ma fa'agata fa'alavelave fa'afuase'i;
    URPF e puipuia ai tuatusi IP fa'asese ma osofa'iga;
    O le DHCP Option82 ma le PPPoE+ e tu'uina atu le nofoaga fa'apitoa o le tagata fa'aoga Fa'amaoniaga o le OSPF, RIPv2 ma le BGPv4 ma fa'amaoniga MD5cryptograph;
    Vaega Auaunaga

     

    ACL ACL masani ma faʻalautele;
    Taimi ACL;
    Fa'avasegaga o le tafe ma le fa'auigaina o le tafe e fa'avae i luga ole fa'apogai/taulaga ole tuatusi MAC, VLAN, 802.1p, ToS, DiffServ, tuatusi IP(IPv4/IPv6), tuatusi TCP/UDP, ituaiga protocol, ma isi;
    fa'amama taga ole L2~L7 loloto ile 80 paita ole ulu pusa IP;
    QoS Fua-fa'atapula'a i pa'u lafo/maua saosaoa o le uafu po'o le fa'auigaina o le tafega ma tu'uina atu le va'aiga lautele mata'itu ma lua-saosaoa tolu-lanu mata'i o le tafega fa'asinomaga;
    CAR(Fa'atonu Avanoa Fuafuaga), Fa'asologa o Ta'avale Fe'avea'i ma fa'asologa o fuainumera;
    Fa'ata pa'u ma toe fa'afeiloa'i o fa'aoga ma fa'auiga fa'auiga;
    Lagolagoina le fa'ailogaina o le fa'amuamua o ports po'o aga masani ma tu'uina atu le 802.1p, DSCP-fa'amuamua Fa'amatalaga gafatia;
    Super queue scheduler e fa'avae i luga o le taulaga po'o le fa'auigaina e le tagata lava ia tafega.O taulaga taʻitasi / tafe e lagolagoina 48 faʻamuamua laina ma faʻatulagaina o SP, WRR ma SP + WRR;
    Auala e aloese ai mai le Masosa, e aofia ai Tail-Drop ma le WRED;
    IPv4 ARP Sui;
    DHCP Relay;
    DHCP Server;
    Fa'asologa Fa'atonu;
    RIPv1/v2;
    OSPFv2/V3;
    Fa'ata'ita'iga auala e tutusa tau;
    Fa'ata'ita'iga fa'avae;
    Faiga fa'atonutonu
    IPv6 ICMPv6;
    ICMPv6 Redirection;
    DHCPv6;
    ACLv6;
    IPv6 ma IPv4 lua faaputuga;
    Telecast IGMPv1/v2/v3;
    IGMPv1/v2/v3 Snooping;
    IGMP Filter;
    MVR ma cross VLAN kopi telecast;
    IGMP Livi vave;
    IGMP Sui;
    PIM-SM/PIM-DM/PIM-SSM;
    MLDv2/MLDv2 Snooping;
    Fa'atuatuaina Puipuiga Loop ERRP poʻo ERPS;
    Loopback-su'eina;
    Sootaga Puipuiga FlexLink (taimi toe maua <50ms);
    RSTP/MSTP (taimi toe fa'aleleia <1s);
    LACP (taimi toe maua <10ms);
    BFD;
    Puipuiga o masini VRRP fa'apolopolo talimalo;
    1 + 1 mana fa'aola vevela;
    Tausiga Tausiga Network Tau-taimi moni, faʻaogaina ma faʻasalalau / mauaina faʻamaumauga faʻavae ile TGLnet
    RFC3176 sFlow su'esu'ega;
    LLDP;
    GPON OMCI;
    Fa'amaumauga Fa'amaumauga ma le RFC 3164 BSD syslog Protocol;
    Ping ma Traceroute;
    Puleaina o masini CLI, Console port, Telnet;
    SNMPv1/v2/v3;
    RMON (Mataituina mamao)1,2,3,9 vaega MIB;
    NTP;
    NGBNView pulega feso'ota'iga;
    Fa'ailo Malosi;

    Fa'amatalaga Fa'atau:

    Aitema

    Fa'amatalaga o oloa

    GPON OLT 4PON

    4* PON uafu, 4* GE SFP uafu, 4* GE COMBO uafu, 2*10GE SFP + uafu, faalua AC/DC sapalai eletise

    GPON OLT 8PON

    8* PON uafu, 4* GE SFP uafu, 4* GE COMBO uafu, 2*10GE SFP + uafu, faalua AC/DC sapalai eletise

    NG01PWR150AC

    GPON OLT, 150W AC 220Vpower module

    NG01PWR72DC

    GPON OLT, 72W DC -48V mana module

    NG01FAN56A

    GPON OLT, faʻaoga vevela vevela

     


    Aitema GPON OLT 4PON GPON OLT 8PON
    Suiga Gafatia 63Gbps 78Gbps
    Avanoa Fa'asalalauina (Ipv4/Ipv6) 46.8Mpps 58Mpps

    Taulaga Auaunaga

    4 * PON uafu, 4 * GE SFP uafu, 4 * GE COMBO uafu, 2 * 10GE SFP + uafu 8 * PON uafu, 4 * GE SFP uafu, 4 * GE COMBO uafu, 2 * 10GE SFP + uafu

    Redundancy Design

    Sapalai mana lua
    Lagolago fa'aoga lua AC, fa'aoga fa'alua DC ma fa'aoga AC + DC

    Paoa sapalai

    AC: fa'aoga 90~264V 47/63Hz;
    DC: fa'aoga -36V~-72V;

    Fa'aaogāina Malosiaga

    50W

    Fua (Lele xLl. x Maualuluga)

    437mm×44mm×280mm

    mamafa

    ≤5KG

    Manaoga Faalesiosiomaga

    vevela galue: -10°C~55°C

    Teuga vevela: -40°C~70°C

    Susū fa'atusa: 10% ~ 90%, e le fa'afefe

    Mea e Fa'atino:

    Aitema GPON OLT 4(8)PON
    PON Features ITU-TG.984.x;
    SN/Utulautusi/SN+ upu faataga/LOID/LOID Upu faataga/LOID+LOID Fa'amatalaga fa'amaonia auala;
    DBA algorithm, ma o le vaega e mo le 64Kbit/s;
    Fa'atonuga ole pulega ole OMCI;
    ONU batch software faʻaleleia;
    su'esu'eina o parakalafa opitika uafu PON;
    L2 Vaega MAC MAC Puuliuli;
    Tapulaa MAC Taulaga;
    32K MAC (packet exchange chip cache 2MB);
    VLAN 4K VLAN fa'amaumauga;
    Fa'avasegaina VLAN fa'avae i luga ole taulaga;
    Uplink static QinQ ma fetuutuunai QinQ(Stack VLAN);
    Uplink VLAN Swap ma VLAN Fa'amatalaga;
    GVRP;
    La'au Spanning STP/RSTP/MSTP;
    Su'esu'eina matasele mamao;
    Taulaga Fa'atonuga o le bandwidth fa'atonu;
    Lagolago static ma LACP dynamic port aggregation;
    Fa'ata uafu;
    Saogalemu
    Vaega
    Puipuiga ole Tagata Fa'aoga Anti-ARP-spoofing;
    Anti-ARP-lologa;
    IP Source Guard mo le fatuina o le IP + VLAN + MAC + Port binding;
    Fa'esea o Taulaga;
    O le tuatusi MAC o loʻo fusifusia i le taulaga ma le faʻaogaina o le tuatusi MAC;
    IEEE 802.1x ma AAA/Radius fa'amaoni;
    Puipuiga o masini Lagolago le faʻatonuga e puipuia ai le tele o osofaʻiga a le DOS ma osofaʻiga faʻamaʻi i le PPU;
    SSHv2 Secure Shell;
    SNMP v3 pulega fa'ailoga;
    Login Security IP e ala i Telnet;
    Pulea fa'atonu ma le puipuiga o upu fa'aoga o tagata fa'aoga;
    Saogalemu Fesootaiga Su'esu'ega ta'avale MAC ma le ARP fa'aoga-fa'aoga;
    Fa'atapula'a fe'avea'i ARP a tagata ta'ito'atasi ma fa'amalosi le fa'aoga fa'atasi ma femalagaiga ARP fa'aletonu;
    Dynamic ARP faʻavae laulau faʻavae;
    IP + VLAN + MAC + Port fusifusia;
    L2 i le L7 ACL fa'amama fa'amama masini i luga o le 80 paita o le ulu o fa'amatalaga fa'aoga-tagata;
    Fa'asalalauga fa'avae/fa'asalalauga fa'atele ma fa'agata fa'alavelave fa'afuase'i;
    URPF e puipuia ai tuatusi IP fa'asese ma osofa'iga;
    O le DHCP Option82 ma le PPPoE+ e tu'uina atu le nofoaga fa'apitoa o le tagata fa'aoga Fa'amaoniaga o le OSPF, RIPv2 ma le BGPv4 ma fa'amaoniga MD5cryptograph;
    Vaega Auaunaga ACL ACL masani ma faʻalautele;
    Taimi ACL;
    Fa'avasegaga o le tafe ma le fa'auigaina o le tafe e fa'avae i luga ole fa'apogai/taulaga ole tuatusi MAC, VLAN, 802.1p, ToS, DiffServ, tuatusi IP(IPv4/IPv6), tuatusi TCP/UDP, ituaiga protocol, ma isi;
    fa'amama taga ole L2~L7 loloto ile 80 paita ole ulu pusa IP;
    QoS Fua-fa'atapula'a i pa'u lafo/maua saosaoa o le uafu po'o le fa'auigaina o le tafega ma tu'uina atu le va'aiga lautele mata'itu ma lua-saosaoa tolu-lanu mata'i o le tafega fa'asinomaga;
    CAR(Fa'atonu Avanoa Fuafuaga), Fa'asologa o Ta'avale Fe'avea'i ma fa'asologa o fuainumera;
    Fa'ata pa'u ma toe fa'afeiloa'i o fa'aoga ma fa'auiga fa'auiga;
    Lagolagoina le fa'ailogaina o le fa'amuamua o ports po'o aga masani ma tu'uina atu le 802.1p, DSCP-fa'amuamua Fa'amatalaga gafatia;
    Super queue scheduler e fa'avae i luga o le taulaga po'o le fa'auigaina e le tagata lava ia tafega.O taulaga taʻitasi / tafe e lagolagoina 48 faʻamuamua laina ma faʻatulagaina o SP, WRR ma SP + WRR;
    Auala e aloese ai mai le Masosa, e aofia ai Tail-Drop ma le WRED;
    IPv4 ARP Sui;
    DHCP Relay;
    DHCP Server;
    Fa'asologa Fa'atonu;
    RIPv1/v2;
    OSPFv2/V3;
    Fa'ata'ita'iga auala e tutusa tau;
    Fa'ata'ita'iga fa'avae;
    Faiga fa'atonutonu
    IPv6 ICMPv6;
    ICMPv6 Redirection;
    DHCPv6;
    ACLv6;
    IPv6 ma IPv4 lua faaputuga;
    Telecast IGMPv1/v2/v3;
    IGMPv1/v2/v3 Snooping;
    IGMP Filter;
    MVR ma cross VLAN kopi telecast;
    IGMP Livi vave;
    IGMP Sui;
    PIM-SM/PIM-DM/PIM-SSM;
    MLDv2/MLDv2 Snooping;
    Fa'atuatuaina Puipuiga Loop ERRP poʻo ERPS;
    Loopback-su'eina;
    Sootaga Puipuiga FlexLink (taimi toe maua <50ms);
    RSTP/MSTP (taimi toe fa'aleleia <1s);
    LACP (taimi toe maua <10ms);
    BFD;
    Puipuiga o masini VRRP fa'apolopolo talimalo;
    1 + 1 mana fa'aola vevela;
    Tausiga Tausiga Network Tau-taimi moni, faʻaogaina ma faʻasalalau / mauaina faʻamaumauga faʻavae ile TGLnet
    RFC3176 sFlow su'esu'ega;
    LLDP;
    GPON OMCI;
    Fa'amaumauga Fa'amaumauga ma le RFC 3164 BSD syslog Protocol;
    Ping ma Traceroute;
    Puleaina o masini CLI, Console port, Telnet;
    SNMPv1/v2/v3;
    RMON (Mataituina mamao)1,2,3,9 vaega MIB;
    NTP;
    NGBNView pulega feso'ota'iga;
    Fa'ailo Malosi;

    Fa'amatalaga Fa'atau:

    Aitema

    Fa'amatalaga o oloa

    GPON OLT 4PON

    4* PON uafu, 4* GE SFP uafu, 4* GE COMBO uafu, 2*10GE SFP + uafu, faalua AC/DC sapalai eletise

    GPON OLT 8PON

    8* PON uafu, 4* GE SFP uafu, 4* GE COMBO uafu, 2*10GE SFP + uafu, faalua AC/DC sapalai eletise

    NG01PWR150AC

    GPON OLT, 150W AC 220Vpower module

    NG01PWR72DC

    GPON OLT, 72W DC -48V mana module

    NG01FAN56A

    GPON OLT, faʻaoga vevela vevela

    Tusi lau savali iinei ma lafo mai ia i matou
    web聊天